From 95998a67270ffd72f09ce6f3d43235c723edf36b Mon Sep 17 00:00:00 2001 From: Jan Gelety Date: Tue, 19 Dec 2017 09:15:14 +0100 Subject: CSIT-675: SRv6 performance tests - update L1 KWs - update L2 KWs - tests with one SID (no SRH insertion) - tests with two SIDs (SRH inserted) and decapsulation - tests with two SIDs (SRH inserted) without decapsulation - enabled packet traces and logged packet traces in the test case tear down if test failed Change-Id: I3a0f4c350eed3f42509c6d49e832faa78fe64dbb Signed-off-by: Jan Gelety --- resources/templates/vat/enable_dpdk_traces.vat | 1 + resources/templates/vat/enable_vhost_user_traces.vat | 1 + 2 files changed, 2 insertions(+) create mode 100644 resources/templates/vat/enable_dpdk_traces.vat create mode 100644 resources/templates/vat/enable_vhost_user_traces.vat (limited to 'resources/templates/vat') diff --git a/resources/templates/vat/enable_dpdk_traces.vat b/resources/templates/vat/enable_dpdk_traces.vat new file mode 100644 index 0000000000..38af76a5b5 --- /dev/null +++ b/resources/templates/vat/enable_dpdk_traces.vat @@ -0,0 +1 @@ +exec trace add dpdk-input 100 \ No newline at end of file diff --git a/resources/templates/vat/enable_vhost_user_traces.vat b/resources/templates/vat/enable_vhost_user_traces.vat new file mode 100644 index 0000000000..9b5c6465dc --- /dev/null +++ b/resources/templates/vat/enable_vhost_user_traces.vat @@ -0,0 +1 @@ +exec trace add vhost-user-input 100 \ No newline at end of file -- cgit 1.2.3-korg