From c58cba70baa74588619018a2e8b461592bff1bf4 Mon Sep 17 00:00:00 2001 From: Peter Mikus Date: Thu, 13 Jun 2019 15:01:34 +0000 Subject: NF_density dot1qip4udpvxlan + NF_density compatible + Baseline VM tests compatible + Scalability NxM - 3n variant is missing in VXLAN and DOT1Q due to requests to have it asymmetrical - TODO Change-Id: Ia817d7be00622b594b451bc07756240d1a379e04 Signed-off-by: Peter Mikus --- ...maclrn-eth-iacldstbase-noacl-2vhostvr1024-1vm-ndrpdr.robot | 11 ++++++----- 1 file changed, 6 insertions(+), 5 deletions(-) (limited to 'tests/vpp/perf/vts/10ge2p1x710-ethip4vxlan-l2bdbasemaclrn-eth-iacldstbase-noacl-2vhostvr1024-1vm-ndrpdr.robot') diff --git a/tests/vpp/perf/vts/10ge2p1x710-ethip4vxlan-l2bdbasemaclrn-eth-iacldstbase-noacl-2vhostvr1024-1vm-ndrpdr.robot b/tests/vpp/perf/vts/10ge2p1x710-ethip4vxlan-l2bdbasemaclrn-eth-iacldstbase-noacl-2vhostvr1024-1vm-ndrpdr.robot index a0f5c038a5..4f6e871aeb 100644 --- a/tests/vpp/perf/vts/10ge2p1x710-ethip4vxlan-l2bdbasemaclrn-eth-iacldstbase-noacl-2vhostvr1024-1vm-ndrpdr.robot +++ b/tests/vpp/perf/vts/10ge2p1x710-ethip4vxlan-l2bdbasemaclrn-eth-iacldstbase-noacl-2vhostvr1024-1vm-ndrpdr.robot @@ -63,7 +63,8 @@ | ${dut1_bd_id2}= | 2 | ${dut2_bd_id1}= | 1 # Traffic profile: -| ${traffic_profile}= | trex-sl-ethip4-vxlansrc253 +| ${traffic_profile}= +| ... | trex-sl-ethip4vxlan-ip4src${nf_chains}udpsrcrnd | ${acl_type}= | ${EMPTY} *** Keywords *** @@ -84,11 +85,11 @@ | | Set Test Variable | \${frame_size} | | ... | | Given Add worker threads and rxqueues to all DUTs | ${phy_cores} | ${rxq} -| | Add PCI devices to all DUTs -| | Set Max Rate And Jumbo And Handle Multi Seg +| | And Add PCI devices to all DUTs +| | And Set Max Rate And Jumbo And Handle Multi Seg | | And Apply startup configuration on all VPP DUTs -| | &{vxlan1} = | Create Dictionary | vni=24 | vtep=172.17.0.2 -| | &{vxlan2} = | Create Dictionary | vni=24 | vtep=172.27.0.2 +| | &{vxlan1} = | Create Dictionary | vni=0 | vtep=172.17.0.2 +| | &{vxlan2} = | Create Dictionary | vni=0 | vtep=172.27.0.2 | | @{dut1_vxlans} = | Create List | ${vxlan1} | | @{dut2_vxlans} = | Create List | ${vxlan2} | | Set interfaces in path up -- cgit 1.2.3-korg