From b040f98a88954ccf2e63fd7b2676865a2dbe0078 Mon Sep 17 00:00:00 2001 From: Florin Coras Date: Tue, 20 Oct 2020 14:59:43 -0700 Subject: misc: minimize dependencies on udp.h Type: improvement Signed-off-by: Florin Coras Change-Id: Id13f33843b230a1d169560742c4f7b2dc17d8718 --- src/plugins/cnat/cnat_session.h | 2 +- src/plugins/dhcp/dhcp6_client_common_dp.c | 3 +- src/plugins/dhcp/dhcp_client_detect.c | 2 +- src/plugins/dhcp/dhcp_proxy.h | 2 +- src/plugins/dns/dns.c | 2 +- src/plugins/dpdk/ipsec/esp_encrypt.c | 2 +- src/plugins/flowprobe/flowprobe.c | 1 + src/plugins/flowprobe/node.c | 1 + src/plugins/geneve/geneve.h | 3 +- src/plugins/gtpu/gtpu.h | 3 +- src/plugins/ikev2/ikev2_cli.c | 1 - src/plugins/ikev2/ikev2_crypto.c | 1 - src/plugins/ioam/analyse/ioam_summary_export.c | 1 + src/plugins/ioam/export-common/ioam_export.h | 3 +- src/plugins/ioam/ipfixcollector/ipfixcollector.c | 2 +- src/plugins/ioam/lib-vxlan-gpe/ioam_transit.c | 2 +- src/plugins/ioam/udp-ping/udp_ping_export.c | 2 + src/plugins/lb/lb.c | 2 +- src/plugins/lisp/lisp-gpe/interface.c | 2 +- src/plugins/lisp/lisp-gpe/lisp_gpe.h | 3 +- src/plugins/lisp/lisp-gpe/lisp_gpe_adjacency.c | 1 + src/plugins/nat/in2out.c | 2 +- src/plugins/nat/in2out_ed.c | 2 +- src/plugins/nat/lib/inlines.h | 2 + src/plugins/nat/lib/ipfix_logging.c | 2 + src/plugins/nat/nat64/nat64_out2in.c | 2 +- src/plugins/nat/nat_ha.c | 2 +- src/plugins/nat/out2in.c | 2 +- src/plugins/nat/out2in_ed.c | 2 +- src/plugins/nsh/nsh-md2-ioam/md2_ioam_transit.c | 2 +- src/plugins/oddbuf/oddbuf.h | 1 - src/plugins/wireguard/wireguard.c | 1 - src/plugins/wireguard/wireguard_send.c | 1 - src/vat/api_format.c | 1 + src/vnet/CMakeLists.txt | 2 + src/vnet/bfd/bfd_udp.c | 2 +- src/vnet/bier/bier_bift_table.c | 2 +- src/vnet/flow/flow_api.c | 16 +- src/vnet/gso/gro_func.h | 2 + src/vnet/gso/hdr_offset_parser.h | 2 +- src/vnet/interface_output.c | 1 + src/vnet/ip/punt_node.c | 2 - src/vnet/ipfix-export/flow_api.c | 1 + src/vnet/ipfix-export/flow_report.c | 1 + src/vnet/ipfix-export/flow_report.h | 2 +- src/vnet/ipfix-export/flow_report_classify.c | 2 + src/vnet/ipsec/esp_encrypt.c | 1 - src/vnet/ipsec/ipsec.c | 2 +- src/vnet/ipsec/ipsec_sa.c | 2 +- src/vnet/ipsec/ipsec_tun.c | 2 +- src/vnet/udp/udp.h | 263 +---------------------- src/vnet/udp/udp_encap.h | 2 +- src/vnet/udp/udp_encap_node.c | 1 + src/vnet/udp/udp_inlines.h | 231 ++++++++++++++++++++ src/vnet/udp/udp_local.c | 2 +- src/vnet/udp/udp_local.h | 87 ++++++++ src/vnet/udp/udp_packet.h | 2 + src/vnet/vxlan-gbp/vxlan_gbp.h | 3 +- src/vnet/vxlan-gpe/decap.c | 1 + src/vnet/vxlan-gpe/encap.c | 1 + src/vnet/vxlan-gpe/vxlan_gpe.c | 1 + src/vnet/vxlan-gpe/vxlan_gpe.h | 2 +- src/vnet/vxlan/decap.c | 1 + src/vnet/vxlan/vxlan.c | 1 + src/vnet/vxlan/vxlan.h | 2 +- 65 files changed, 400 insertions(+), 305 deletions(-) create mode 100644 src/vnet/udp/udp_inlines.h create mode 100644 src/vnet/udp/udp_local.h diff --git a/src/plugins/cnat/cnat_session.h b/src/plugins/cnat/cnat_session.h index 83b8cd61389..a1f3486417d 100644 --- a/src/plugins/cnat/cnat_session.h +++ b/src/plugins/cnat/cnat_session.h @@ -16,7 +16,7 @@ #ifndef __CNAT_SESSION_H__ #define __CNAT_SESSION_H__ -#include +#include #include #include diff --git a/src/plugins/dhcp/dhcp6_client_common_dp.c b/src/plugins/dhcp/dhcp6_client_common_dp.c index e42ec3f472c..f8a96f151e3 100644 --- a/src/plugins/dhcp/dhcp6_client_common_dp.c +++ b/src/plugins/dhcp/dhcp6_client_common_dp.c @@ -19,7 +19,8 @@ #include #include #include -#include +#include +#include dhcp6_client_common_main_t dhcp6_client_common_main; dhcpv6_duid_ll_string_t client_duid; diff --git a/src/plugins/dhcp/dhcp_client_detect.c b/src/plugins/dhcp/dhcp_client_detect.c index 31b89850802..598bd16cf8d 100644 --- a/src/plugins/dhcp/dhcp_client_detect.c +++ b/src/plugins/dhcp/dhcp_client_detect.c @@ -16,7 +16,7 @@ */ #include -#include +#include #define foreach_dhcp_client_detect \ _(EXTRACT, "Extract") diff --git a/src/plugins/dhcp/dhcp_proxy.h b/src/plugins/dhcp/dhcp_proxy.h index 4810ae5bae4..3466f0c1291 100644 --- a/src/plugins/dhcp/dhcp_proxy.h +++ b/src/plugins/dhcp/dhcp_proxy.h @@ -25,7 +25,7 @@ #include #include #include -#include +#include typedef enum { diff --git a/src/plugins/dns/dns.c b/src/plugins/dns/dns.c index bdf14961a78..045f4d616a3 100644 --- a/src/plugins/dns/dns.c +++ b/src/plugins/dns/dns.c @@ -14,7 +14,7 @@ */ #include -#include +#include #include #include #include diff --git a/src/plugins/dpdk/ipsec/esp_encrypt.c b/src/plugins/dpdk/ipsec/esp_encrypt.c index d6a55ecfc25..8becb820ff1 100644 --- a/src/plugins/dpdk/ipsec/esp_encrypt.c +++ b/src/plugins/dpdk/ipsec/esp_encrypt.c @@ -21,7 +21,7 @@ #include #include -#include +#include #include #include #include diff --git a/src/plugins/flowprobe/flowprobe.c b/src/plugins/flowprobe/flowprobe.c index 18e0d06c993..9bc12c8b60c 100644 --- a/src/plugins/flowprobe/flowprobe.c +++ b/src/plugins/flowprobe/flowprobe.c @@ -26,6 +26,7 @@ #include #include #include +#include #include #include diff --git a/src/plugins/flowprobe/node.c b/src/plugins/flowprobe/node.c index 0ec1d27a6bd..a9768303cd9 100644 --- a/src/plugins/flowprobe/node.c +++ b/src/plugins/flowprobe/node.c @@ -20,6 +20,7 @@ #include #include #include +#include #include static void flowprobe_export_entry (vlib_main_t * vm, flowprobe_entry_t * e); diff --git a/src/plugins/geneve/geneve.h b/src/plugins/geneve/geneve.h index 029f7534497..d41a49a7ff6 100644 --- a/src/plugins/geneve/geneve.h +++ b/src/plugins/geneve/geneve.h @@ -29,7 +29,8 @@ #include #include #include -#include +#include +#include #include #include diff --git a/src/plugins/gtpu/gtpu.h b/src/plugins/gtpu/gtpu.h index 07616419070..8f3b654bcc8 100644 --- a/src/plugins/gtpu/gtpu.h +++ b/src/plugins/gtpu/gtpu.h @@ -30,7 +30,8 @@ #include #include #include -#include +#include +#include #include #include #include diff --git a/src/plugins/ikev2/ikev2_cli.c b/src/plugins/ikev2/ikev2_cli.c index ad9ae609adb..a2d5ad2c77d 100644 --- a/src/plugins/ikev2/ikev2_cli.c +++ b/src/plugins/ikev2/ikev2_cli.c @@ -15,7 +15,6 @@ #include #include #include -#include #include #include #include diff --git a/src/plugins/ikev2/ikev2_crypto.c b/src/plugins/ikev2/ikev2_crypto.c index 7f4fac58d4a..a9ab1bc8067 100644 --- a/src/plugins/ikev2/ikev2_crypto.c +++ b/src/plugins/ikev2/ikev2_crypto.c @@ -16,7 +16,6 @@ #include #include #include -#include #include #include #include diff --git a/src/plugins/ioam/analyse/ioam_summary_export.c b/src/plugins/ioam/analyse/ioam_summary_export.c index 12907d8c54b..032272f5ec7 100644 --- a/src/plugins/ioam/analyse/ioam_summary_export.c +++ b/src/plugins/ioam/analyse/ioam_summary_export.c @@ -15,6 +15,7 @@ #include #include +#include #include #include diff --git a/src/plugins/ioam/export-common/ioam_export.h b/src/plugins/ioam/export-common/ioam_export.h index b1bca9bf91f..f242ad7a788 100644 --- a/src/plugins/ioam/export-common/ioam_export.h +++ b/src/plugins/ioam/export-common/ioam_export.h @@ -21,7 +21,8 @@ #include #include #include -#include +#include +#include #include #include diff --git a/src/plugins/ioam/ipfixcollector/ipfixcollector.c b/src/plugins/ioam/ipfixcollector/ipfixcollector.c index 37b828cb786..d4f57c50101 100644 --- a/src/plugins/ioam/ipfixcollector/ipfixcollector.c +++ b/src/plugins/ioam/ipfixcollector/ipfixcollector.c @@ -15,7 +15,7 @@ #include #include -#include +#include #include ipfix_collector_main_t ipfix_collector_main; diff --git a/src/plugins/ioam/lib-vxlan-gpe/ioam_transit.c b/src/plugins/ioam/lib-vxlan-gpe/ioam_transit.c index 7567f31caf2..215f14b74bc 100644 --- a/src/plugins/ioam/lib-vxlan-gpe/ioam_transit.c +++ b/src/plugins/ioam/lib-vxlan-gpe/ioam_transit.c @@ -16,7 +16,7 @@ #include #include #include -#include +#include #include #include #include diff --git a/src/plugins/ioam/udp-ping/udp_ping_export.c b/src/plugins/ioam/udp-ping/udp_ping_export.c index 3c632c86900..3e835989a6f 100644 --- a/src/plugins/ioam/udp-ping/udp_ping_export.c +++ b/src/plugins/ioam/udp-ping/udp_ping_export.c @@ -16,6 +16,8 @@ #include #include #include +#include +#include #include #define UDP_PING_EXPORT_RECORD_SIZE 400 diff --git a/src/plugins/lb/lb.c b/src/plugins/lb/lb.c index ab5e808ddab..21a14844f5e 100644 --- a/src/plugins/lb/lb.c +++ b/src/plugins/lb/lb.c @@ -17,7 +17,7 @@ #include #include #include -#include +#include #include //GC runs at most once every so many seconds diff --git a/src/plugins/lisp/lisp-gpe/interface.c b/src/plugins/lisp/lisp-gpe/interface.c index 0d5f689fe7b..1d2abaf3f90 100644 --- a/src/plugins/lisp/lisp-gpe/interface.c +++ b/src/plugins/lisp/lisp-gpe/interface.c @@ -23,7 +23,7 @@ #include #include #include -#include +#include #include #include #include diff --git a/src/plugins/lisp/lisp-gpe/lisp_gpe.h b/src/plugins/lisp/lisp-gpe/lisp_gpe.h index aa2e7d1bfbf..10dc4fe7aa7 100644 --- a/src/plugins/lisp/lisp-gpe/lisp_gpe.h +++ b/src/plugins/lisp/lisp-gpe/lisp_gpe.h @@ -27,7 +27,8 @@ #include #include #include -#include +#include +#include #include #include #include diff --git a/src/plugins/lisp/lisp-gpe/lisp_gpe_adjacency.c b/src/plugins/lisp/lisp-gpe/lisp_gpe_adjacency.c index eab10f4d2d5..d93f3abaad2 100644 --- a/src/plugins/lisp/lisp-gpe/lisp_gpe_adjacency.c +++ b/src/plugins/lisp/lisp-gpe/lisp_gpe_adjacency.c @@ -26,6 +26,7 @@ #include #include #include +#include #include #include diff --git a/src/plugins/nat/in2out.c b/src/plugins/nat/in2out.c index 5f67f9b7ccd..ff463ef928f 100644 --- a/src/plugins/nat/in2out.c +++ b/src/plugins/nat/in2out.c @@ -23,7 +23,7 @@ #include #include #include -#include +#include #include #include #include diff --git a/src/plugins/nat/in2out_ed.c b/src/plugins/nat/in2out_ed.c index f52026b1f11..f0bb0f9aae7 100644 --- a/src/plugins/nat/in2out_ed.c +++ b/src/plugins/nat/in2out_ed.c @@ -22,7 +22,7 @@ #include #include #include -#include +#include #include #include #include diff --git a/src/plugins/nat/lib/inlines.h b/src/plugins/nat/lib/inlines.h index 107944915cd..fe1f7dd27bc 100644 --- a/src/plugins/nat/lib/inlines.h +++ b/src/plugins/nat/lib/inlines.h @@ -18,6 +18,8 @@ #ifndef included_nat_inlines_h__ #define included_nat_inlines_h__ +#include + always_inline nat_protocol_t ip_proto_to_nat_proto (u8 ip_proto) { diff --git a/src/plugins/nat/lib/ipfix_logging.c b/src/plugins/nat/lib/ipfix_logging.c index e4fc0cedf19..7226fb72086 100644 --- a/src/plugins/nat/lib/ipfix_logging.c +++ b/src/plugins/nat/lib/ipfix_logging.c @@ -16,6 +16,8 @@ */ #include +#include +#include #include #include #include diff --git a/src/plugins/nat/nat64/nat64_out2in.c b/src/plugins/nat/nat64/nat64_out2in.c index 108edf0bdd1..5c5554ba36e 100644 --- a/src/plugins/nat/nat64/nat64_out2in.c +++ b/src/plugins/nat/nat64/nat64_out2in.c @@ -16,7 +16,7 @@ #include #include #include -#include +#include typedef struct { diff --git a/src/plugins/nat/nat_ha.c b/src/plugins/nat/nat_ha.c index 11b4ba82a17..ddfa3e49183 100644 --- a/src/plugins/nat/nat_ha.c +++ b/src/plugins/nat/nat_ha.c @@ -14,7 +14,7 @@ */ #include "nat_ha.h" -#include +#include #include #include diff --git a/src/plugins/nat/out2in.c b/src/plugins/nat/out2in.c index 99a4bb41c3a..dc1d0876d55 100644 --- a/src/plugins/nat/out2in.c +++ b/src/plugins/nat/out2in.c @@ -21,7 +21,7 @@ #include #include -#include +#include #include #include #include diff --git a/src/plugins/nat/out2in_ed.c b/src/plugins/nat/out2in_ed.c index e9fbc595f04..703c854834c 100644 --- a/src/plugins/nat/out2in_ed.c +++ b/src/plugins/nat/out2in_ed.c @@ -22,7 +22,7 @@ #include #include #include -#include +#include #include #include #include diff --git a/src/plugins/nsh/nsh-md2-ioam/md2_ioam_transit.c b/src/plugins/nsh/nsh-md2-ioam/md2_ioam_transit.c index b69cd745e9b..876e00324e3 100644 --- a/src/plugins/nsh/nsh-md2-ioam/md2_ioam_transit.c +++ b/src/plugins/nsh/nsh-md2-ioam/md2_ioam_transit.c @@ -16,7 +16,7 @@ #include #include #include -#include +#include #include #include #include diff --git a/src/plugins/oddbuf/oddbuf.h b/src/plugins/oddbuf/oddbuf.h index c2c07e7a647..d908e534be7 100644 --- a/src/plugins/oddbuf/oddbuf.h +++ b/src/plugins/oddbuf/oddbuf.h @@ -20,7 +20,6 @@ #include #include -#include #include #include diff --git a/src/plugins/wireguard/wireguard.c b/src/plugins/wireguard/wireguard.c index 9510a0ad385..f74a128aa38 100755 --- a/src/plugins/wireguard/wireguard.c +++ b/src/plugins/wireguard/wireguard.c @@ -17,7 +17,6 @@ #include #include #include -#include #include #include diff --git a/src/plugins/wireguard/wireguard_send.c b/src/plugins/wireguard/wireguard_send.c index e520e92bc42..f492e05c175 100755 --- a/src/plugins/wireguard/wireguard_send.c +++ b/src/plugins/wireguard/wireguard_send.c @@ -16,7 +16,6 @@ #include #include -#include #include #include #include diff --git a/src/vat/api_format.c b/src/vat/api_format.c index 4dd3f054ff3..366e60e1290 100644 --- a/src/vat/api_format.c +++ b/src/vat/api_format.c @@ -30,6 +30,7 @@ #include #include #include +#include #include #include diff --git a/src/vnet/CMakeLists.txt b/src/vnet/CMakeLists.txt index dc8c0dee6f3..f8b034f5dfa 100644 --- a/src/vnet/CMakeLists.txt +++ b/src/vnet/CMakeLists.txt @@ -672,6 +672,8 @@ list(APPEND VNET_HEADERS udp/udp_error.def udp/udp.h udp/udp_packet.h + udp/udp_inlines.h + udp/udp_local.h ) list(APPEND VNET_API_FILES udp/udp.api) diff --git a/src/vnet/bfd/bfd_udp.c b/src/vnet/bfd/bfd_udp.c index 0edf8a8d463..2bb4c1d615f 100644 --- a/src/vnet/bfd/bfd_udp.c +++ b/src/vnet/bfd/bfd_udp.c @@ -22,8 +22,8 @@ #include #include #include +#include #include -#include #include #include #include diff --git a/src/vnet/bier/bier_bift_table.c b/src/vnet/bier/bier_bift_table.c index f226779d0e6..6de4f979b17 100644 --- a/src/vnet/bier/bier_bift_table.c +++ b/src/vnet/bier/bier_bift_table.c @@ -15,7 +15,7 @@ #include #include -#include +#include typedef enum { #define bier_error(n,s) BIER_INPUT_ERROR_##n, diff --git a/src/vnet/flow/flow_api.c b/src/vnet/flow/flow_api.c index 9ae3802b6fe..b24c8510be5 100644 --- a/src/vnet/flow/flow_api.c +++ b/src/vnet/flow/flow_api.c @@ -25,6 +25,7 @@ #include #include #include +#include #include #include #include @@ -251,6 +252,7 @@ out: ({ rmp->flow_index = ntohl (flow_index); })); + /* *INDENT-ON* */ } static void @@ -259,8 +261,8 @@ vl_api_flow_del_t_handler (vl_api_flow_del_t * mp) vl_api_flow_add_reply_t *rmp; int rv = 0; - vnet_main_t *vnm = vnet_get_main(); - rv = vnet_flow_del(vnm, ntohl(mp->flow_index)); + vnet_main_t *vnm = vnet_get_main (); + rv = vnet_flow_del (vnm, ntohl (mp->flow_index)); REPLY_MACRO (VL_API_FLOW_DEL_REPLY); } @@ -271,8 +273,9 @@ vl_api_flow_enable_t_handler (vl_api_flow_enable_t * mp) vl_api_flow_add_reply_t *rmp; int rv = 0; - vnet_main_t *vnm = vnet_get_main(); - rv = vnet_flow_enable(vnm, ntohl(mp->flow_index), ntohl(mp->hw_if_index)); + vnet_main_t *vnm = vnet_get_main (); + rv = + vnet_flow_enable (vnm, ntohl (mp->flow_index), ntohl (mp->hw_if_index)); REPLY_MACRO (VL_API_FLOW_ENABLE_REPLY); } @@ -283,8 +286,9 @@ vl_api_flow_disable_t_handler (vl_api_flow_disable_t * mp) vl_api_flow_add_reply_t *rmp; int rv = 0; - vnet_main_t *vnm = vnet_get_main(); - rv = vnet_flow_disable(vnm, ntohl(mp->flow_index), ntohl(mp->hw_if_index)); + vnet_main_t *vnm = vnet_get_main (); + rv = + vnet_flow_disable (vnm, ntohl (mp->flow_index), ntohl (mp->hw_if_index)); REPLY_MACRO (VL_API_FLOW_DISABLE_REPLY); } diff --git a/src/vnet/gso/gro_func.h b/src/vnet/gso/gro_func.h index 95def6f8eba..96a03ce7542 100644 --- a/src/vnet/gso/gro_func.h +++ b/src/vnet/gso/gro_func.h @@ -19,6 +19,8 @@ #include #include #include +#include +#include #include #include #include diff --git a/src/vnet/gso/hdr_offset_parser.h b/src/vnet/gso/hdr_offset_parser.h index bfe04217fa2..e846aaa6fd2 100644 --- a/src/vnet/gso/hdr_offset_parser.h +++ b/src/vnet/gso/hdr_offset_parser.h @@ -19,7 +19,7 @@ #include #include #include -#include +#include #include #include #include diff --git a/src/vnet/interface_output.c b/src/vnet/interface_output.c index 913bac64df0..52c07accc4a 100644 --- a/src/vnet/interface_output.c +++ b/src/vnet/interface_output.c @@ -40,6 +40,7 @@ #include #include #include +#include #include #include #include diff --git a/src/vnet/ip/punt_node.c b/src/vnet/ip/punt_node.c index 87b1452ec84..23c4cc453ea 100644 --- a/src/vnet/ip/punt_node.c +++ b/src/vnet/ip/punt_node.c @@ -24,8 +24,6 @@ #include #include -#include -#include #include #include diff --git a/src/vnet/ipfix-export/flow_api.c b/src/vnet/ipfix-export/flow_api.c index 217cf31d691..226db2686ac 100644 --- a/src/vnet/ipfix-export/flow_api.c +++ b/src/vnet/ipfix-export/flow_api.c @@ -20,6 +20,7 @@ #include #include #include +#include #include #include diff --git a/src/vnet/ipfix-export/flow_report.c b/src/vnet/ipfix-export/flow_report.c index 56a2d16b8d5..a831c3cbede 100644 --- a/src/vnet/ipfix-export/flow_report.c +++ b/src/vnet/ipfix-export/flow_report.c @@ -17,6 +17,7 @@ */ #include #include +#include flow_report_main_t flow_report_main; diff --git a/src/vnet/ipfix-export/flow_report.h b/src/vnet/ipfix-export/flow_report.h index a26232d5919..30c11760c92 100644 --- a/src/vnet/ipfix-export/flow_report.h +++ b/src/vnet/ipfix-export/flow_report.h @@ -23,7 +23,7 @@ #include #include #include -#include +#include #include #include #include diff --git a/src/vnet/ipfix-export/flow_report_classify.c b/src/vnet/ipfix-export/flow_report_classify.c index 58f623db145..21b6411a292 100644 --- a/src/vnet/ipfix-export/flow_report_classify.c +++ b/src/vnet/ipfix-export/flow_report_classify.c @@ -16,6 +16,8 @@ #include #include #include +#include +#include /* Common prefix of tcp and udp headers * containing only source and destination port fields */ diff --git a/src/vnet/ipsec/esp_encrypt.c b/src/vnet/ipsec/esp_encrypt.c index 591ec260699..9a1c0f1e744 100644 --- a/src/vnet/ipsec/esp_encrypt.c +++ b/src/vnet/ipsec/esp_encrypt.c @@ -18,7 +18,6 @@ #include #include #include -#include #include diff --git a/src/vnet/ipsec/ipsec.c b/src/vnet/ipsec/ipsec.c index 55f69f584c9..a2de1e7fa44 100644 --- a/src/vnet/ipsec/ipsec.c +++ b/src/vnet/ipsec/ipsec.c @@ -19,7 +19,7 @@ #include #include #include -#include +#include #include #include diff --git a/src/vnet/ipsec/ipsec_sa.c b/src/vnet/ipsec/ipsec_sa.c index 2ff3bee1b3f..9b2f2b53fa5 100644 --- a/src/vnet/ipsec/ipsec_sa.c +++ b/src/vnet/ipsec/ipsec_sa.c @@ -15,7 +15,7 @@ #include #include -#include +#include #include #include #include diff --git a/src/vnet/ipsec/ipsec_tun.c b/src/vnet/ipsec/ipsec_tun.c index 4a84201210e..4985c549ccc 100644 --- a/src/vnet/ipsec/ipsec_tun.c +++ b/src/vnet/ipsec/ipsec_tun.c @@ -18,7 +18,7 @@ #include #include #include -#include +#include #include #include #include diff --git a/src/vnet/udp/udp.h b/src/vnet/udp/udp.h index 8a0575ff9ff..8f4b2f109ff 100644 --- a/src/vnet/udp/udp.h +++ b/src/vnet/udp/udp.h @@ -16,6 +16,8 @@ #define __included_udp_h__ #include +#include +#include #include #include #include @@ -65,57 +67,6 @@ typedef struct u16 mss; /**< connection mss */ } udp_connection_t; -#define foreach_udp4_dst_port \ -_ (53, dns) \ -_ (67, dhcp_to_server) \ -_ (68, dhcp_to_client) \ -_ (500, ikev2) \ -_ (2152, GTPU) \ -_ (3784, bfd4) \ -_ (3785, bfd_echo4) \ -_ (4341, lisp_gpe) \ -_ (4342, lisp_cp) \ -_ (4500, ipsec) \ -_ (4739, ipfix) \ -_ (4789, vxlan) \ -_ (4789, vxlan6) \ -_ (48879, vxlan_gbp) \ -_ (4790, VXLAN_GPE) \ -_ (6633, vpath_3) \ -_ (6081, geneve) \ -_ (53053, dns_reply) - - -#define foreach_udp6_dst_port \ -_ (53, dns6) \ -_ (547, dhcpv6_to_server) \ -_ (546, dhcpv6_to_client) \ -_ (2152, GTPU6) \ -_ (3784, bfd6) \ -_ (3785, bfd_echo6) \ -_ (4341, lisp_gpe6) \ -_ (4342, lisp_cp6) \ -_ (48879, vxlan6_gbp) \ -_ (4790, VXLAN6_GPE) \ -_ (6633, vpath6_3) \ -_ (6081, geneve6) \ -_ (8138, BIER) \ -_ (53053, dns_reply6) - -typedef enum -{ -#define _(n,f) UDP_DST_PORT_##f = n, - foreach_udp4_dst_port foreach_udp6_dst_port -#undef _ -} udp_dst_port_t; - -typedef enum -{ -#define _(n,f) UDP6_DST_PORT_##f = n, - foreach_udp6_dst_port -#undef _ -} udp6_dst_port_t; - typedef struct { /* Name (a c string). */ @@ -180,6 +131,9 @@ extern vlib_node_registration_t udp6_input_node; extern vlib_node_registration_t udp4_local_node; extern vlib_node_registration_t udp6_local_node; +void udp_add_dst_port (udp_main_t * um, udp_dst_port_t dst_port, + char *dst_port_name, u8 is_ip4); + always_inline udp_connection_t * udp_connection_get (u32 conn_index, u32 thread_index) { @@ -282,217 +236,10 @@ format_function_t format_udp_connection; unformat_function_t unformat_udp_header; unformat_function_t unformat_udp_port; -void udp_add_dst_port (udp_main_t * um, udp_dst_port_t dst_port, - char *dst_port_name, u8 is_ip4); -void udp_register_dst_port (vlib_main_t * vm, - udp_dst_port_t dst_port, - u32 node_index, u8 is_ip4); -void udp_unregister_dst_port (vlib_main_t * vm, - udp_dst_port_t dst_port, u8 is_ip4); -bool udp_is_valid_dst_port (udp_dst_port_t dst_port, u8 is_ip4); void udp_connection_share_port (u16 lcl_port, u8 is_ip4); void udp_punt_unknown (vlib_main_t * vm, u8 is_ip4, u8 is_add); -always_inline void * -vlib_buffer_push_udp (vlib_buffer_t * b, u16 sp, u16 dp, u8 offload_csum) -{ - udp_header_t *uh; - u16 udp_len = sizeof (udp_header_t) + b->current_length; - if (PREDICT_FALSE (b->flags & VLIB_BUFFER_TOTAL_LENGTH_VALID)) - udp_len += b->total_length_not_including_first_buffer; - - uh = vlib_buffer_push_uninit (b, sizeof (udp_header_t)); - uh->src_port = sp; - uh->dst_port = dp; - uh->checksum = 0; - uh->length = clib_host_to_net_u16 (udp_len); - if (offload_csum) - b->flags |= VNET_BUFFER_F_OFFLOAD_UDP_CKSUM; - vnet_buffer (b)->l4_hdr_offset = (u8 *) uh - b->data; - b->flags |= VNET_BUFFER_F_L4_HDR_OFFSET_VALID; - return uh; -} - -always_inline void -ip_udp_fixup_one (vlib_main_t * vm, vlib_buffer_t * b0, u8 is_ip4) -{ - u16 new_l0; - udp_header_t *udp0; - - if (is_ip4) - { - ip4_header_t *ip0; - ip_csum_t sum0; - u16 old_l0 = 0; - - ip0 = vlib_buffer_get_current (b0); - - /* fix the ing outer-IP checksum */ - sum0 = ip0->checksum; - /* old_l0 always 0, see the rewrite setup */ - new_l0 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b0)); - - sum0 = ip_csum_update (sum0, old_l0, new_l0, ip4_header_t, - length /* changed member */ ); - ip0->checksum = ip_csum_fold (sum0); - ip0->length = new_l0; - - /* Fix UDP length */ - udp0 = (udp_header_t *) (ip0 + 1); - new_l0 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b0) - - sizeof (*ip0)); - udp0->length = new_l0; - } - else - { - ip6_header_t *ip0; - int bogus0; - - ip0 = vlib_buffer_get_current (b0); - - new_l0 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b0) - - sizeof (*ip0)); - ip0->payload_length = new_l0; - - /* Fix UDP length */ - udp0 = (udp_header_t *) (ip0 + 1); - udp0->length = new_l0; - - udp0->checksum = - ip6_tcp_udp_icmp_compute_checksum (vm, b0, ip0, &bogus0); - ASSERT (bogus0 == 0); - - if (udp0->checksum == 0) - udp0->checksum = 0xffff; - } -} - -always_inline void -ip_udp_encap_one (vlib_main_t * vm, vlib_buffer_t * b0, u8 * ec0, word ec_len, - u8 is_ip4) -{ - vlib_buffer_advance (b0, -ec_len); - - if (is_ip4) - { - ip4_header_t *ip0; - - ip0 = vlib_buffer_get_current (b0); - - /* Apply the encap string. */ - clib_memcpy_fast (ip0, ec0, ec_len); - ip_udp_fixup_one (vm, b0, 1); - } - else - { - ip6_header_t *ip0; - - ip0 = vlib_buffer_get_current (b0); - - /* Apply the encap string. */ - clib_memcpy_fast (ip0, ec0, ec_len); - ip_udp_fixup_one (vm, b0, 0); - } -} - -always_inline void -ip_udp_encap_two (vlib_main_t * vm, vlib_buffer_t * b0, vlib_buffer_t * b1, - u8 * ec0, u8 * ec1, word ec_len, u8 is_v4) -{ - u16 new_l0, new_l1; - udp_header_t *udp0, *udp1; - - ASSERT (_vec_len (ec0) == _vec_len (ec1)); - - vlib_buffer_advance (b0, -ec_len); - vlib_buffer_advance (b1, -ec_len); - - if (is_v4) - { - ip4_header_t *ip0, *ip1; - ip_csum_t sum0, sum1; - u16 old_l0 = 0, old_l1 = 0; - - ip0 = vlib_buffer_get_current (b0); - ip1 = vlib_buffer_get_current (b1); - - /* Apply the encap string */ - clib_memcpy_fast (ip0, ec0, ec_len); - clib_memcpy_fast (ip1, ec1, ec_len); - - /* fix the ing outer-IP checksum */ - sum0 = ip0->checksum; - sum1 = ip1->checksum; - - /* old_l0 always 0, see the rewrite setup */ - new_l0 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b0)); - new_l1 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b1)); - - sum0 = ip_csum_update (sum0, old_l0, new_l0, ip4_header_t, - length /* changed member */ ); - sum1 = ip_csum_update (sum1, old_l1, new_l1, ip4_header_t, - length /* changed member */ ); - - ip0->checksum = ip_csum_fold (sum0); - ip1->checksum = ip_csum_fold (sum1); - - ip0->length = new_l0; - ip1->length = new_l1; - - /* Fix UDP length */ - udp0 = (udp_header_t *) (ip0 + 1); - udp1 = (udp_header_t *) (ip1 + 1); - - new_l0 = - clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b0) - - sizeof (*ip0)); - new_l1 = - clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b1) - - sizeof (*ip1)); - udp0->length = new_l0; - udp1->length = new_l1; - } - else - { - ip6_header_t *ip0, *ip1; - int bogus0, bogus1; - - ip0 = vlib_buffer_get_current (b0); - ip1 = vlib_buffer_get_current (b1); - - /* Apply the encap string. */ - clib_memcpy_fast (ip0, ec0, ec_len); - clib_memcpy_fast (ip1, ec1, ec_len); - - new_l0 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b0) - - sizeof (*ip0)); - new_l1 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b1) - - sizeof (*ip1)); - ip0->payload_length = new_l0; - ip1->payload_length = new_l1; - - /* Fix UDP length */ - udp0 = (udp_header_t *) (ip0 + 1); - udp1 = (udp_header_t *) (ip1 + 1); - - udp0->length = new_l0; - udp1->length = new_l1; - - udp0->checksum = - ip6_tcp_udp_icmp_compute_checksum (vm, b0, ip0, &bogus0); - udp1->checksum = - ip6_tcp_udp_icmp_compute_checksum (vm, b1, ip1, &bogus1); - ASSERT (bogus0 == 0); - ASSERT (bogus1 == 0); - - if (udp0->checksum == 0) - udp0->checksum = 0xffff; - if (udp1->checksum == 0) - udp1->checksum = 0xffff; - } -} - /* * fd.io coding-style-patch-verification: ON * diff --git a/src/vnet/udp/udp_encap.h b/src/vnet/udp/udp_encap.h index 9d82e59ba55..b096e0f5c09 100644 --- a/src/vnet/udp/udp_encap.h +++ b/src/vnet/udp/udp_encap.h @@ -17,7 +17,7 @@ #define __UDP_ENCAP_H__ #include -#include +#include #include /** diff --git a/src/vnet/udp/udp_encap_node.c b/src/vnet/udp/udp_encap_node.c index e8e37944082..5b9fc0bf34b 100644 --- a/src/vnet/udp/udp_encap_node.c +++ b/src/vnet/udp/udp_encap_node.c @@ -14,6 +14,7 @@ */ #include +#include typedef struct udp4_encap_trace_t_ { diff --git a/src/vnet/udp/udp_inlines.h b/src/vnet/udp/udp_inlines.h new file mode 100644 index 00000000000..ec800f9a413 --- /dev/null +++ b/src/vnet/udp/udp_inlines.h @@ -0,0 +1,231 @@ +/* + * Copyright (c) 2020 Cisco and/or its affiliates. + * Licensed under the Apache License, Version 2.0 (the "License"); + * you may not use this file except in compliance with the License. + * You may obtain a copy of the License at: + * + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + */ + +#ifndef SRC_VNET_UDP_UDP_INLINES_H_ +#define SRC_VNET_UDP_UDP_INLINES_H_ + +#include +#include +#include +#include + +always_inline void * +vlib_buffer_push_udp (vlib_buffer_t * b, u16 sp, u16 dp, u8 offload_csum) +{ + udp_header_t *uh; + u16 udp_len = sizeof (udp_header_t) + b->current_length; + if (PREDICT_FALSE (b->flags & VLIB_BUFFER_TOTAL_LENGTH_VALID)) + udp_len += b->total_length_not_including_first_buffer; + + uh = vlib_buffer_push_uninit (b, sizeof (udp_header_t)); + uh->src_port = sp; + uh->dst_port = dp; + uh->checksum = 0; + uh->length = clib_host_to_net_u16 (udp_len); + if (offload_csum) + b->flags |= VNET_BUFFER_F_OFFLOAD_UDP_CKSUM; + vnet_buffer (b)->l4_hdr_offset = (u8 *) uh - b->data; + b->flags |= VNET_BUFFER_F_L4_HDR_OFFSET_VALID; + return uh; +} + +always_inline void +ip_udp_fixup_one (vlib_main_t * vm, vlib_buffer_t * b0, u8 is_ip4) +{ + u16 new_l0; + udp_header_t *udp0; + + if (is_ip4) + { + ip4_header_t *ip0; + ip_csum_t sum0; + u16 old_l0 = 0; + + ip0 = vlib_buffer_get_current (b0); + + /* fix the ing outer-IP checksum */ + sum0 = ip0->checksum; + /* old_l0 always 0, see the rewrite setup */ + new_l0 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b0)); + + sum0 = ip_csum_update (sum0, old_l0, new_l0, ip4_header_t, + length /* changed member */ ); + ip0->checksum = ip_csum_fold (sum0); + ip0->length = new_l0; + + /* Fix UDP length */ + udp0 = (udp_header_t *) (ip0 + 1); + new_l0 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b0) + - sizeof (*ip0)); + udp0->length = new_l0; + } + else + { + ip6_header_t *ip0; + int bogus0; + + ip0 = vlib_buffer_get_current (b0); + + new_l0 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b0) + - sizeof (*ip0)); + ip0->payload_length = new_l0; + + /* Fix UDP length */ + udp0 = (udp_header_t *) (ip0 + 1); + udp0->length = new_l0; + + udp0->checksum = + ip6_tcp_udp_icmp_compute_checksum (vm, b0, ip0, &bogus0); + ASSERT (bogus0 == 0); + + if (udp0->checksum == 0) + udp0->checksum = 0xffff; + } +} + +always_inline void +ip_udp_encap_one (vlib_main_t * vm, vlib_buffer_t * b0, u8 * ec0, word ec_len, + u8 is_ip4) +{ + vlib_buffer_advance (b0, -ec_len); + + if (is_ip4) + { + ip4_header_t *ip0; + + ip0 = vlib_buffer_get_current (b0); + + /* Apply the encap string. */ + clib_memcpy_fast (ip0, ec0, ec_len); + ip_udp_fixup_one (vm, b0, 1); + } + else + { + ip6_header_t *ip0; + + ip0 = vlib_buffer_get_current (b0); + + /* Apply the encap string. */ + clib_memcpy_fast (ip0, ec0, ec_len); + ip_udp_fixup_one (vm, b0, 0); + } +} + +always_inline void +ip_udp_encap_two (vlib_main_t * vm, vlib_buffer_t * b0, vlib_buffer_t * b1, + u8 * ec0, u8 * ec1, word ec_len, u8 is_v4) +{ + u16 new_l0, new_l1; + udp_header_t *udp0, *udp1; + + ASSERT (_vec_len (ec0) == _vec_len (ec1)); + + vlib_buffer_advance (b0, -ec_len); + vlib_buffer_advance (b1, -ec_len); + + if (is_v4) + { + ip4_header_t *ip0, *ip1; + ip_csum_t sum0, sum1; + u16 old_l0 = 0, old_l1 = 0; + + ip0 = vlib_buffer_get_current (b0); + ip1 = vlib_buffer_get_current (b1); + + /* Apply the encap string */ + clib_memcpy_fast (ip0, ec0, ec_len); + clib_memcpy_fast (ip1, ec1, ec_len); + + /* fix the ing outer-IP checksum */ + sum0 = ip0->checksum; + sum1 = ip1->checksum; + + /* old_l0 always 0, see the rewrite setup */ + new_l0 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b0)); + new_l1 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b1)); + + sum0 = ip_csum_update (sum0, old_l0, new_l0, ip4_header_t, + length /* changed member */ ); + sum1 = ip_csum_update (sum1, old_l1, new_l1, ip4_header_t, + length /* changed member */ ); + + ip0->checksum = ip_csum_fold (sum0); + ip1->checksum = ip_csum_fold (sum1); + + ip0->length = new_l0; + ip1->length = new_l1; + + /* Fix UDP length */ + udp0 = (udp_header_t *) (ip0 + 1); + udp1 = (udp_header_t *) (ip1 + 1); + + new_l0 = + clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b0) - + sizeof (*ip0)); + new_l1 = + clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b1) - + sizeof (*ip1)); + udp0->length = new_l0; + udp1->length = new_l1; + } + else + { + ip6_header_t *ip0, *ip1; + int bogus0, bogus1; + + ip0 = vlib_buffer_get_current (b0); + ip1 = vlib_buffer_get_current (b1); + + /* Apply the encap string. */ + clib_memcpy_fast (ip0, ec0, ec_len); + clib_memcpy_fast (ip1, ec1, ec_len); + + new_l0 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b0) + - sizeof (*ip0)); + new_l1 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b1) + - sizeof (*ip1)); + ip0->payload_length = new_l0; + ip1->payload_length = new_l1; + + /* Fix UDP length */ + udp0 = (udp_header_t *) (ip0 + 1); + udp1 = (udp_header_t *) (ip1 + 1); + + udp0->length = new_l0; + udp1->length = new_l1; + + udp0->checksum = + ip6_tcp_udp_icmp_compute_checksum (vm, b0, ip0, &bogus0); + udp1->checksum = + ip6_tcp_udp_icmp_compute_checksum (vm, b1, ip1, &bogus1); + ASSERT (bogus0 == 0); + ASSERT (bogus1 == 0); + + if (udp0->checksum == 0) + udp0->checksum = 0xffff; + if (udp1->checksum == 0) + udp1->checksum = 0xffff; + } +} + +#endif /* SRC_VNET_UDP_UDP_INLINES_H_ */ + +/* + * fd.io coding-style-patch-verification: ON + * + * Local Variables: + * eval: (c-set-style "gnu") + * End: + */ diff --git a/src/vnet/udp/udp_local.c b/src/vnet/udp/udp_local.c index 8efcda1da14..61aafaf8896 100644 --- a/src/vnet/udp/udp_local.c +++ b/src/vnet/udp/udp_local.c @@ -525,7 +525,7 @@ udp_unregister_dst_port (vlib_main_t * vm, udp_dst_port_t dst_port, u8 is_ip4) n[0] = UDP_NO_NODE_SET; } -bool +u8 udp_is_valid_dst_port (udp_dst_port_t dst_port, u8 is_ip4) { udp_main_t *um = &udp_main; diff --git a/src/vnet/udp/udp_local.h b/src/vnet/udp/udp_local.h new file mode 100644 index 00000000000..16286824ef2 --- /dev/null +++ b/src/vnet/udp/udp_local.h @@ -0,0 +1,87 @@ +/* + * Copyright (c) 2020 Cisco and/or its affiliates. + * Licensed under the Apache License, Version 2.0 (the "License"); + * you may not use this file except in compliance with the License. + * You may obtain a copy of the License at: + * + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + */ + +#ifndef SRC_VNET_UDP_UDP_LOCAL_H_ +#define SRC_VNET_UDP_UDP_LOCAL_H_ + +#include + +#define foreach_udp4_dst_port \ +_ (53, dns) \ +_ (67, dhcp_to_server) \ +_ (68, dhcp_to_client) \ +_ (500, ikev2) \ +_ (2152, GTPU) \ +_ (3784, bfd4) \ +_ (3785, bfd_echo4) \ +_ (4341, lisp_gpe) \ +_ (4342, lisp_cp) \ +_ (4500, ipsec) \ +_ (4739, ipfix) \ +_ (4789, vxlan) \ +_ (4789, vxlan6) \ +_ (48879, vxlan_gbp) \ +_ (4790, VXLAN_GPE) \ +_ (6633, vpath_3) \ +_ (6081, geneve) \ +_ (53053, dns_reply) + + +#define foreach_udp6_dst_port \ +_ (53, dns6) \ +_ (547, dhcpv6_to_server) \ +_ (546, dhcpv6_to_client) \ +_ (2152, GTPU6) \ +_ (3784, bfd6) \ +_ (3785, bfd_echo6) \ +_ (4341, lisp_gpe6) \ +_ (4342, lisp_cp6) \ +_ (48879, vxlan6_gbp) \ +_ (4790, VXLAN6_GPE) \ +_ (6633, vpath6_3) \ +_ (6081, geneve6) \ +_ (8138, BIER) \ +_ (53053, dns_reply6) + +typedef enum +{ +#define _(n,f) UDP_DST_PORT_##f = n, + foreach_udp4_dst_port foreach_udp6_dst_port +#undef _ +} udp_dst_port_t; + +typedef enum +{ +#define _(n,f) UDP6_DST_PORT_##f = n, + foreach_udp6_dst_port +#undef _ +} udp6_dst_port_t; + +void udp_register_dst_port (vlib_main_t * vm, + udp_dst_port_t dst_port, + u32 node_index, u8 is_ip4); +void udp_unregister_dst_port (vlib_main_t * vm, + udp_dst_port_t dst_port, u8 is_ip4); +u8 udp_is_valid_dst_port (udp_dst_port_t dst_port, u8 is_ip4); + +#endif /* SRC_VNET_UDP_UDP_LOCAL_H_ */ + +/* + * fd.io coding-style-patch-verification: ON + * + * Local Variables: + * eval: (c-set-style "gnu") + * End: + */ diff --git a/src/vnet/udp/udp_packet.h b/src/vnet/udp/udp_packet.h index 110bf61af14..edb5366d40f 100644 --- a/src/vnet/udp/udp_packet.h +++ b/src/vnet/udp/udp_packet.h @@ -40,6 +40,8 @@ #ifndef included_udp_packet_h #define included_udp_packet_h +#include + typedef struct { /* Source and destination port. */ diff --git a/src/vnet/vxlan-gbp/vxlan_gbp.h b/src/vnet/vxlan-gbp/vxlan_gbp.h index c422d54af4c..fe93587cb00 100644 --- a/src/vnet/vxlan-gbp/vxlan_gbp.h +++ b/src/vnet/vxlan-gbp/vxlan_gbp.h @@ -28,7 +28,8 @@ #include #include #include -#include +#include +#include #include #include diff --git a/src/vnet/vxlan-gpe/decap.c b/src/vnet/vxlan-gpe/decap.c index 173286d4ccb..aea793b82b6 100644 --- a/src/vnet/vxlan-gpe/decap.c +++ b/src/vnet/vxlan-gpe/decap.c @@ -21,6 +21,7 @@ */ #include +#include #include /** diff --git a/src/vnet/vxlan-gpe/encap.c b/src/vnet/vxlan-gpe/encap.c index 1cca4150fdb..daa0381c4bb 100644 --- a/src/vnet/vxlan-gpe/encap.c +++ b/src/vnet/vxlan-gpe/encap.c @@ -22,6 +22,7 @@ #include #include #include +#include #include /** Statistics (not really errors) */ diff --git a/src/vnet/vxlan-gpe/vxlan_gpe.c b/src/vnet/vxlan-gpe/vxlan_gpe.c index 3ce8ad619fa..979d98c747a 100644 --- a/src/vnet/vxlan-gpe/vxlan_gpe.c +++ b/src/vnet/vxlan-gpe/vxlan_gpe.c @@ -26,6 +26,7 @@ #include #include #include +#include #include /** diff --git a/src/vnet/vxlan-gpe/vxlan_gpe.h b/src/vnet/vxlan-gpe/vxlan_gpe.h index a50be3914ee..e246827c156 100644 --- a/src/vnet/vxlan-gpe/vxlan_gpe.h +++ b/src/vnet/vxlan-gpe/vxlan_gpe.h @@ -32,7 +32,7 @@ #include #include #include -#include +#include #include #include diff --git a/src/vnet/vxlan/decap.c b/src/vnet/vxlan/decap.c index e0da9e7af06..e838c038f93 100644 --- a/src/vnet/vxlan/decap.c +++ b/src/vnet/vxlan/decap.c @@ -17,6 +17,7 @@ #include #include +#include #ifndef CLIB_MARCH_VARIANT vlib_node_registration_t vxlan4_input_node; diff --git a/src/vnet/vxlan/vxlan.c b/src/vnet/vxlan/vxlan.c index b1e4aaf291f..742103a7887 100644 --- a/src/vnet/vxlan/vxlan.c +++ b/src/vnet/vxlan/vxlan.c @@ -22,6 +22,7 @@ #include #include #include +#include #include /** diff --git a/src/vnet/vxlan/vxlan.h b/src/vnet/vxlan/vxlan.h index 772c9d77c93..1c77d77ee51 100644 --- a/src/vnet/vxlan/vxlan.h +++ b/src/vnet/vxlan/vxlan.h @@ -29,7 +29,7 @@ #include #include #include -#include +#include #include #include -- cgit 1.2.3-korg