From c576622667199db906efa3110ad25e552b3a0890 Mon Sep 17 00:00:00 2001 From: Damjan Marion Date: Mon, 16 Apr 2018 00:18:34 +0200 Subject: dpdk: complete rework of the dpdk-input node Change-Id: If174d189de40e6f9ffae99997bba93a2519d9fda Signed-off-by: Damjan Marion --- src/plugins/dpdk/device/device.c | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) (limited to 'src/plugins/dpdk/device/device.c') diff --git a/src/plugins/dpdk/device/device.c b/src/plugins/dpdk/device/device.c index c20a01b84eb..9ae3f9cbe6e 100644 --- a/src/plugins/dpdk/device/device.c +++ b/src/plugins/dpdk/device/device.c @@ -117,7 +117,7 @@ dpdk_tx_trace_buffer (dpdk_main_t * dm, u16 queue_id, u32 buffer_index, vlib_buffer_t * buffer) { vlib_main_t *vm = vlib_get_main (); - dpdk_tx_dma_trace_t *t0; + dpdk_tx_trace_t *t0; struct rte_mbuf *mb; mb = rte_mbuf_from_vlib_buffer (buffer); @@ -608,7 +608,7 @@ CLIB_MULTIARCH_FN (dpdk_interface_tx) (vlib_main_t * vm, cm = vec_elt_at_index (vnm->interface_main.sw_if_counters, VNET_INTERFACE_COUNTER_TX_ERROR); - vlib_increment_simple_counter (cm, my_cpu, xd->vlib_sw_if_index, + vlib_increment_simple_counter (cm, my_cpu, xd->sw_if_index, n_packets); vlib_error_count (vm, node->node_index, DPDK_TX_FUNC_ERROR_PKT_DROP, @@ -787,7 +787,7 @@ VNET_DEVICE_CLASS (dpdk_device_class) = { .tx_function_error_strings = dpdk_tx_func_error_strings, .format_device_name = format_dpdk_device_name, .format_device = format_dpdk_device, - .format_tx_trace = format_dpdk_tx_dma_trace, + .format_tx_trace = format_dpdk_tx_trace, .clear_counters = dpdk_clear_hw_interface_counters, .admin_up_down_function = dpdk_interface_admin_up_down, .subif_add_del_function = dpdk_subif_add_del_function, -- cgit 1.2.3-korg