From 7d98a12f29d5b9696df98b8af2f128614a4f0360 Mon Sep 17 00:00:00 2001 From: Damjan Marion Date: Thu, 19 Jul 2018 20:42:08 +0200 Subject: Remove unused argument to vlib_feature_next Change-Id: Ieb8b53977fc8484c19780941e232ee072b667de3 Signed-off-by: Damjan Marion --- src/plugins/ila/ila.c | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) (limited to 'src/plugins/ila/ila.c') diff --git a/src/plugins/ila/ila.c b/src/plugins/ila/ila.c index fd56043eb57..93138723479 100644 --- a/src/plugins/ila/ila.c +++ b/src/plugins/ila/ila.c @@ -517,8 +517,8 @@ ila_sir2ila (vlib_main_t * vm, ip61->dst_address.as_u64[0] = ila_address1->as_u64[0]; ip61->dst_address.as_u64[1] = ila_address1->as_u64[1]; - vnet_feature_next (vnet_buffer (p0)->sw_if_index[VLIB_RX], &next0, p0); - vnet_feature_next (vnet_buffer (p1)->sw_if_index[VLIB_RX], &next1, p1); + vnet_feature_next (&next0, p0); + vnet_feature_next (&next1, p1); vlib_validate_buffer_enqueue_x2 (vm, node, next_index, to_next, n_left_to_next, pi0, pi1, next0, @@ -569,7 +569,7 @@ ila_sir2ila (vlib_main_t * vm, ip60->dst_address.as_u64[0] = ila_address0->as_u64[0]; ip60->dst_address.as_u64[1] = ila_address0->as_u64[1]; - vnet_feature_next (vnet_buffer (p0)->sw_if_index[VLIB_RX], &next0, p0); + vnet_feature_next (&next0, p0); vlib_validate_buffer_enqueue_x1 (vm, node, next_index, to_next, n_left_to_next, pi0, next0); -- cgit 1.2.3-korg