From fe45f8f5afbf34d68cf992cc32b12432a82cdb38 Mon Sep 17 00:00:00 2001 From: Damjan Marion Date: Fri, 20 May 2022 16:01:22 +0200 Subject: api: replace print functions wth format Type: improvement Change-Id: I7f7050c19453a69a7fb6c5e62f8f57db847d9144 Signed-off-by: Damjan Marion --- src/plugins/tracedump/tracedump_test.c | 6 ++---- 1 file changed, 2 insertions(+), 4 deletions(-) (limited to 'src/plugins/tracedump') diff --git a/src/plugins/tracedump/tracedump_test.c b/src/plugins/tracedump/tracedump_test.c index abb81059199..f2bb63ec85d 100644 --- a/src/plugins/tracedump/tracedump_test.c +++ b/src/plugins/tracedump/tracedump_test.c @@ -235,7 +235,6 @@ api_trace_clear_capture (vat_main_t * vam) -#define vl_print(handle, ...) vlib_cli_output (handle, __VA_ARGS__) #define vl_endianfun #include #undef vl_endianfun @@ -251,9 +250,8 @@ manual_setup_message_id_table (vat_main_t * vam) { vl_msg_api_set_handlers ( VL_API_TRACE_DETAILS + tracedump_test_main.msg_id_base, "trace_details", - vl_api_trace_details_t_handler, vl_noop_handler, - vl_api_trace_details_t_endian, vl_api_trace_details_t_print, - sizeof (vl_api_trace_details_t), 1, vl_api_trace_details_t_print_json, + vl_api_trace_details_t_handler, vl_api_trace_details_t_endian, + vl_api_trace_details_t_format, sizeof (vl_api_trace_details_t), 1, vl_api_trace_details_t_tojson, vl_api_trace_details_t_fromjson, vl_api_trace_details_t_calc_size); } -- cgit 1.2.3-korg