From 4ac258497303c1cbca539e04ef5f732eed24a5c4 Mon Sep 17 00:00:00 2001 From: Florin Coras Date: Mon, 19 Apr 2021 17:34:54 -0700 Subject: vcl session: extended connect/listen configuration Type: feature Signed-off-by: Florin Coras Change-Id: Ic8d9386fef37ffd3446aaeb93a96ee6d60633831 --- src/vcl/vcl_private.h | 5 +++++ 1 file changed, 5 insertions(+) (limited to 'src/vcl/vcl_private.h') diff --git a/src/vcl/vcl_private.h b/src/vcl/vcl_private.h index 34e05f20f88..1da334a1da9 100644 --- a/src/vcl/vcl_private.h +++ b/src/vcl/vcl_private.h @@ -166,6 +166,8 @@ typedef struct vcl_session_ u32 sndbuf_size; // VPP-TBD: Hack until support setsockopt(SO_SNDBUF) u32 rcvbuf_size; // VPP-TBD: Hack until support setsockopt(SO_RCVBUF) + transport_endpt_ext_cfg_t *ext_config; + #if VCL_ELOG elog_track_t elog_track; #endif @@ -709,6 +711,9 @@ int vcl_segment_attach_session (uword segment_handle, uword rxf_offset, int vcl_segment_attach_mq (uword segment_handle, uword mq_offset, u32 mq_index, svm_msg_q_t **mq); int vcl_segment_discover_mqs (uword segment_handle, int *fds, u32 n_fds); +svm_fifo_chunk_t *vcl_segment_alloc_chunk (uword segment_handle, + u32 slice_index, u32 size, + uword *offset); /* * VCL Binary API -- cgit 1.2.3-korg