summaryrefslogtreecommitdiffstats
path: root/doxygen/siphon_templates
diff options
context:
space:
mode:
authorChris Luke <chrisy@flirble.org>2016-10-05 15:45:19 -0400
committerChris Luke <chris_luke@comcast.com>2016-11-28 18:23:35 +0000
commitc3f92adf6be41263eb466e074e4136d29b50b59a (patch)
tree7eecad6aa039bb8a2f6ec5b068d6be9914a8815b /doxygen/siphon_templates
parent39f9973f89fe6d44ee3be5d1dd4457d20530d4aa (diff)
Add support for using documentation siphons in multiple ways
Experiental support for generating multiple output formats from the same siphoned data. Adds a contrived example to generate a plain list of all CLI commands (the "itemlist" format). Eventually we can consider moving the tempate procesisng into the Output class as well as a way to override how the data is traversed (ordered). Change-Id: I77629a74a8fa0c7e583993469dc50491f72f13e7 Signed-off-by: Chris Luke <chrisy@flirble.org>
Diffstat (limited to 'doxygen/siphon_templates')
-rw-r--r--doxygen/siphon_templates/itemlist/clicmd/item_format.itemlist17
-rw-r--r--doxygen/siphon_templates/itemlist/default/index_entry.itemlist15
-rw-r--r--doxygen/siphon_templates/itemlist/default/index_header.itemlist15
-rw-r--r--doxygen/siphon_templates/itemlist/default/index_section.itemlist15
-rw-r--r--doxygen/siphon_templates/itemlist/default/item_format.itemlist (renamed from doxygen/siphon_templates/default/item_format.md)0
-rw-r--r--doxygen/siphon_templates/itemlist/default/item_header.itemlist15
-rw-r--r--doxygen/siphon_templates/itemlist/syscfg/item_format.itemlist17
-rw-r--r--doxygen/siphon_templates/markdown/clicmd/index_entry.md (renamed from doxygen/siphon_templates/clicmd/index_entry.md)0
-rw-r--r--doxygen/siphon_templates/markdown/clicmd/index_header.md (renamed from doxygen/siphon_templates/clicmd/index_header.md)0
-rw-r--r--doxygen/siphon_templates/markdown/clicmd/item_format.md (renamed from doxygen/siphon_templates/clicmd/item_format.md)0
-rw-r--r--doxygen/siphon_templates/markdown/default/index_entry.md (renamed from doxygen/siphon_templates/default/index_entry.md)0
-rw-r--r--doxygen/siphon_templates/markdown/default/index_section.md (renamed from doxygen/siphon_templates/default/index_section.md)0
-rw-r--r--doxygen/siphon_templates/markdown/default/item_format.md16
-rw-r--r--doxygen/siphon_templates/markdown/default/item_header.md (renamed from doxygen/siphon_templates/default/item_header.md)0
-rw-r--r--doxygen/siphon_templates/markdown/syscfg/index_header.md (renamed from doxygen/siphon_templates/syscfg/index_header.md)0
-rw-r--r--doxygen/siphon_templates/markdown/syscfg/item_format.md (renamed from doxygen/siphon_templates/syscfg/item_format.md)0
16 files changed, 110 insertions, 0 deletions
diff --git a/doxygen/siphon_templates/itemlist/clicmd/item_format.itemlist b/doxygen/siphon_templates/itemlist/clicmd/item_format.itemlist
new file mode 100644
index 00000000000..195c378069a
--- /dev/null
+++ b/doxygen/siphon_templates/itemlist/clicmd/item_format.itemlist
@@ -0,0 +1,17 @@
+{#
+# Copyright (c) 2016 Comcast Cable Communications Management, LLC.
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at:
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#}
+{# Just output the command path #}
+{{ item['value']['path'] }}
diff --git a/doxygen/siphon_templates/itemlist/default/index_entry.itemlist b/doxygen/siphon_templates/itemlist/default/index_entry.itemlist
new file mode 100644
index 00000000000..3b2494fbd15
--- /dev/null
+++ b/doxygen/siphon_templates/itemlist/default/index_entry.itemlist
@@ -0,0 +1,15 @@
+{#
+# Copyright (c) 2016 Comcast Cable Communications Management, LLC.
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at:
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#}
diff --git a/doxygen/siphon_templates/itemlist/default/index_header.itemlist b/doxygen/siphon_templates/itemlist/default/index_header.itemlist
new file mode 100644
index 00000000000..3b2494fbd15
--- /dev/null
+++ b/doxygen/siphon_templates/itemlist/default/index_header.itemlist
@@ -0,0 +1,15 @@
+{#
+# Copyright (c) 2016 Comcast Cable Communications Management, LLC.
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at:
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#}
diff --git a/doxygen/siphon_templates/itemlist/default/index_section.itemlist b/doxygen/siphon_templates/itemlist/default/index_section.itemlist
new file mode 100644
index 00000000000..3b2494fbd15
--- /dev/null
+++ b/doxygen/siphon_templates/itemlist/default/index_section.itemlist
@@ -0,0 +1,15 @@
+{#
+# Copyright (c) 2016 Comcast Cable Communications Management, LLC.
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at:
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#}
diff --git a/doxygen/siphon_templates/default/item_format.md b/doxygen/siphon_templates/itemlist/default/item_format.itemlist
index ed1b1bf7eeb..ed1b1bf7eeb 100644
--- a/doxygen/siphon_templates/default/item_format.md
+++ b/doxygen/siphon_templates/itemlist/default/item_format.itemlist
diff --git a/doxygen/siphon_templates/itemlist/default/item_header.itemlist b/doxygen/siphon_templates/itemlist/default/item_header.itemlist
new file mode 100644
index 00000000000..3b2494fbd15
--- /dev/null
+++ b/doxygen/siphon_templates/itemlist/default/item_header.itemlist
@@ -0,0 +1,15 @@
+{#
+# Copyright (c) 2016 Comcast Cable Communications Management, LLC.
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at:
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#}
diff --git a/doxygen/siphon_templates/itemlist/syscfg/item_format.itemlist b/doxygen/siphon_templates/itemlist/syscfg/item_format.itemlist
new file mode 100644
index 00000000000..023205196f5
--- /dev/null
+++ b/doxygen/siphon_templates/itemlist/syscfg/item_format.itemlist
@@ -0,0 +1,17 @@
+{#
+# Copyright (c) 2016 Comcast Cable Communications Management, LLC.
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at:
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#}
+{# Just output the item name #}
+{{ item['name'] }}
diff --git a/doxygen/siphon_templates/clicmd/index_entry.md b/doxygen/siphon_templates/markdown/clicmd/index_entry.md
index 1fa9ec9b25a..1fa9ec9b25a 100644
--- a/doxygen/siphon_templates/clicmd/index_entry.md
+++ b/doxygen/siphon_templates/markdown/clicmd/index_entry.md
diff --git a/doxygen/siphon_templates/clicmd/index_header.md b/doxygen/siphon_templates/markdown/clicmd/index_header.md
index 4167f4dc477..4167f4dc477 100644
--- a/doxygen/siphon_templates/clicmd/index_header.md
+++ b/doxygen/siphon_templates/markdown/clicmd/index_header.md
diff --git a/doxygen/siphon_templates/clicmd/item_format.md b/doxygen/siphon_templates/markdown/clicmd/item_format.md
index 288dae40f24..288dae40f24 100644
--- a/doxygen/siphon_templates/clicmd/item_format.md
+++ b/doxygen/siphon_templates/markdown/clicmd/item_format.md
diff --git a/doxygen/siphon_templates/default/index_entry.md b/doxygen/siphon_templates/markdown/default/index_entry.md
index 479dcdb2cc3..479dcdb2cc3 100644
--- a/doxygen/siphon_templates/default/index_entry.md
+++ b/doxygen/siphon_templates/markdown/default/index_entry.md
diff --git a/doxygen/siphon_templates/default/index_section.md b/doxygen/siphon_templates/markdown/default/index_section.md
index 3c9d2b474c5..3c9d2b474c5 100644
--- a/doxygen/siphon_templates/default/index_section.md
+++ b/doxygen/siphon_templates/markdown/default/index_section.md
diff --git a/doxygen/siphon_templates/markdown/default/item_format.md b/doxygen/siphon_templates/markdown/default/item_format.md
new file mode 100644
index 00000000000..ed1b1bf7eeb
--- /dev/null
+++ b/doxygen/siphon_templates/markdown/default/item_format.md
@@ -0,0 +1,16 @@
+{#
+# Copyright (c) 2016 Comcast Cable Communications Management, LLC.
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at:
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#}
+{{ raise NotImplementedError }}
diff --git a/doxygen/siphon_templates/default/item_header.md b/doxygen/siphon_templates/markdown/default/item_header.md
index 0c21e51fa5d..0c21e51fa5d 100644
--- a/doxygen/siphon_templates/default/item_header.md
+++ b/doxygen/siphon_templates/markdown/default/item_header.md
diff --git a/doxygen/siphon_templates/syscfg/index_header.md b/doxygen/siphon_templates/markdown/syscfg/index_header.md
index 5d338a0472b..5d338a0472b 100644
--- a/doxygen/siphon_templates/syscfg/index_header.md
+++ b/doxygen/siphon_templates/markdown/syscfg/index_header.md
diff --git a/doxygen/siphon_templates/syscfg/item_format.md b/doxygen/siphon_templates/markdown/syscfg/item_format.md
index 53136115bbc..53136115bbc 100644
--- a/doxygen/siphon_templates/syscfg/item_format.md
+++ b/doxygen/siphon_templates/markdown/syscfg/item_format.md