aboutsummaryrefslogtreecommitdiffstats
path: root/src/examples/sample-plugin/sample/sample.h
diff options
context:
space:
mode:
Diffstat (limited to 'src/examples/sample-plugin/sample/sample.h')
-rw-r--r--src/examples/sample-plugin/sample/sample.h4
1 files changed, 2 insertions, 2 deletions
diff --git a/src/examples/sample-plugin/sample/sample.h b/src/examples/sample-plugin/sample/sample.h
index 6137ffff487..c9778f74298 100644
--- a/src/examples/sample-plugin/sample/sample.h
+++ b/src/examples/sample-plugin/sample/sample.h
@@ -28,13 +28,13 @@ typedef struct {
u16 msg_id_base;
/* convenience */
- vlib_main_t * vlib_main;
vnet_main_t * vnet_main;
- ethernet_main_t * ethernet_main;
} sample_main_t;
sample_main_t sample_main;
extern vlib_node_registration_t sample_node;
+#define SAMPLE_PLUGIN_BUILD_VER "1.0"
+
#endif /* __included_sample_h__ */