summaryrefslogtreecommitdiffstats
path: root/src/plugins/hs_apps/vcl/vcl_test_protos.c
diff options
context:
space:
mode:
Diffstat (limited to 'src/plugins/hs_apps/vcl/vcl_test_protos.c')
-rw-r--r--src/plugins/hs_apps/vcl/vcl_test_protos.c8
1 files changed, 4 insertions, 4 deletions
diff --git a/src/plugins/hs_apps/vcl/vcl_test_protos.c b/src/plugins/hs_apps/vcl/vcl_test_protos.c
index 45fff0fa1a1..3c44093156a 100644
--- a/src/plugins/hs_apps/vcl/vcl_test_protos.c
+++ b/src/plugins/hs_apps/vcl/vcl_test_protos.c
@@ -51,7 +51,7 @@ vt_tcp_listen (vcl_test_session_t *ts, vppcom_endpt_t *endpt)
{
int rv;
- ts->fd = vppcom_session_create (VPPCOM_PROTO_TCP, 0 /* is_nonblocking */);
+ ts->fd = vppcom_session_create (VPPCOM_PROTO_TCP, 1 /* is_nonblocking */);
if (ts->fd < 0)
{
vterr ("vppcom_session_create()", ts->fd);
@@ -138,7 +138,7 @@ vt_udp_listen (vcl_test_session_t *ts, vppcom_endpt_t *endpt)
{
int rv;
- ts->fd = vppcom_session_create (VPPCOM_PROTO_UDP, 0 /* is_nonblocking */);
+ ts->fd = vppcom_session_create (VPPCOM_PROTO_UDP, 1 /* is_nonblocking */);
if (ts->fd < 0)
{
vterr ("vppcom_session_create()", ts->fd);
@@ -318,7 +318,7 @@ vt_tls_listen (vcl_test_session_t *ts, vppcom_endpt_t *endpt)
uint32_t ckp_len;
int rv;
- ts->fd = vppcom_session_create (VPPCOM_PROTO_TLS, 0 /* is_nonblocking */);
+ ts->fd = vppcom_session_create (VPPCOM_PROTO_TLS, 1 /* is_nonblocking */);
if (ts->fd < 0)
{
vterr ("vppcom_session_create()", ts->fd);
@@ -423,7 +423,7 @@ vt_dtls_listen (vcl_test_session_t *ts, vppcom_endpt_t *endpt)
uint32_t ckp_len;
int rv;
- ts->fd = vppcom_session_create (VPPCOM_PROTO_DTLS, 0 /* is_nonblocking */);
+ ts->fd = vppcom_session_create (VPPCOM_PROTO_DTLS, 1 /* is_nonblocking */);
if (ts->fd < 0)
{
vterr ("vppcom_session_create()", ts->fd);