diff options
Diffstat (limited to 'test/resources/templates/vat/dump_interfaces.vat')
-rw-r--r-- | test/resources/templates/vat/dump_interfaces.vat | 3 |
1 files changed, 3 insertions, 0 deletions
diff --git a/test/resources/templates/vat/dump_interfaces.vat b/test/resources/templates/vat/dump_interfaces.vat new file mode 100644 index 00000000000..dfc5e6939df --- /dev/null +++ b/test/resources/templates/vat/dump_interfaces.vat @@ -0,0 +1,3 @@ +sw_interface_dump +dump_interface_table +quit |