aboutsummaryrefslogtreecommitdiffstats
path: root/vlib
diff options
context:
space:
mode:
authorDamjan Marion <damarion@cisco.com>2016-08-16 22:53:54 +0200
committerDave Barach <openvpp@barachs.net>2016-08-17 00:52:57 +0000
commit607de1a0638fa45db49295f9ed51a7f9a5e38706 (patch)
tree1d8b8fd307715d685bb8d1f6b1ff34ac54052d2e /vlib
parent256656735c49983a5a2ca94015facca717094730 (diff)
Coding standards cleanup - remove trailing whitespace, fixes VPP-332
Change-Id: I649a17f8fa47599faf438b2e596f53761790d10c Signed-off-by: Damjan Marion <damarion@cisco.com>
Diffstat (limited to 'vlib')
-rw-r--r--vlib/vlib/node_funcs.h2
-rw-r--r--vlib/vlib/vlib_process_doc.h18
2 files changed, 10 insertions, 10 deletions
diff --git a/vlib/vlib/node_funcs.h b/vlib/vlib/node_funcs.h
index 265b897eb9b..bd199fa52f4 100644
--- a/vlib/vlib/node_funcs.h
+++ b/vlib/vlib/node_funcs.h
@@ -674,7 +674,7 @@ vlib_process_wait_for_event_with_type (vlib_main_t * vm,
/** Suspend a cooperative multi-tasking thread
Waits for an event, or for the indicated number of seconds to elapse
@param vm - vlib_main_t pointer
- @param dt - timeout, in seconds.
+ @param dt - timeout, in seconds.
@returns the remaining time interval
*/
diff --git a/vlib/vlib/vlib_process_doc.h b/vlib/vlib/vlib_process_doc.h
index 953eb0c459d..43a51b57efa 100644
--- a/vlib/vlib/vlib_process_doc.h
+++ b/vlib/vlib/vlib_process_doc.h
@@ -61,7 +61,7 @@
#define EXAMPLE_POLL_PERIOD 10.0
static uword
- example_process (vlib_main_t * vm, vlib_node_runtime_t * rt,
+ example_process (vlib_main_t * vm, vlib_node_runtime_t * rt,
vlib_frame_t * f)
{
f64 poll_time_remaining;
@@ -72,8 +72,8 @@
{
int i;
- // Sleep until next periodic call due,
- // or until we receive event(s)
+ // Sleep until next periodic call due,
+ // or until we receive event(s)
//
poll_time_remaining =
vlib_process_wait_for_event_or_clock (vm, poll_time_remaining);
@@ -94,26 +94,26 @@
handle_event2 (vm, event_data[i]);
break;
- // ... and so forth for each event type
+ // ... and so forth for each event type
default:
- // This should never happen...
- clib_warning ("BUG: unhandled event type %d",
+ // This should never happen...
+ clib_warning ("BUG: unhandled event type %d",
event_type);
break;
}
vec_reset_length (event_data);
- // Timer expired, call periodic function
+ // Timer expired, call periodic function
if (vlib_process_suspend_time_is_zero (poll_time_remaining))
{
example_periodic (vm);
poll_time_remaining = EXAMPLE_POLL_PERIOD;
}
}
- // NOTREACHED
+ // NOTREACHED
return 0;
- }
+ }
static VLIB_REGISTER_NODE (example_node) = {
.function = example_process,